万本电子书0元读

万本电子书0元读

顶部广告

数字集成电路与系统设计电子书

售       价:¥

纸质售价:¥35.70购买纸书

185人正在读 | 0人评论 6.2

作       者:李广军,郭志勇,等

出  版  社:电子工业出版社

出版时间:2015-10-01

字       数:25.7万

所属分类: 科技 > 工业技术 > 航空/电子

温馨提示:数字商品不支持退换货,不提供源文件,不支持导出打印

为你推荐

  • 读书简介
  • 目录
  • 累计评论(0条)
  • 读书简介
  • 目录
  • 累计评论(0条)
本书根据数字集成电路和系统工程设计所需求的知识结构,涉及了从系统架构设计至GDSⅡ版图文件的交付等完整的数字集成电路系统前/后端工程设计流程及关键技术。内容涵盖了VLSI设计方法、系统架构、技术规格书(SPEC)、算法建模、Verilog HDL及RTL描述、逻辑与物理综合、仿真与验证、时序分析、可测性设计、安全性设计、低功耗设计、版图设计及封装等工程设计中各阶段的核心知识。尤其对数字信号处理器的算法建模及ASIC设计实现中的关键技术给出了详尽的描述和设计实例。<br/>【作者】<br/>李广军,电子科技大学通信与信息工程学院授,博导。电子科技大学通信集成电路与系统工程中心主任;电子科技大学--Freescale无线通信与嵌式系统联合实验室主任;电子科技大学—MentorGraphicsEDA/SoC设计及培训中心主任;电子科技大学—AlteraEDA/SOPC联合实验室主任;长虹-电子科技大学IC设计联合实验室主任。多年从事科学研究与工程技术发工作,主要研究领域为通信系统设计、无线传感器网络、嵌式系统设计、EDA/SOC/SOPC设计、通信专用集成电路设计等。<br/>
目录展开

前言

第1章 绪论

1.1 集成电路的发展简史

1.2 集成电路产业链(行业)概述

1.3 VLSI设计流程

1.4 VLSI设计模式

1.5 版图层和设计规则

1.6 目前面临的问题和发展方向

习题

参考文献

第2章 可编程逻辑器件及现场可编程门阵列

2.1 可编程逻辑器件的分类及现状

2.2 半导体存储器及其组合逻辑实现

2.3 可编程逻辑器件

2.4 现场可编程门阵列

2.5 基于Verilog的FPGA设计流程

2.6 ASIC设计与FPGA设计之间的移植

2.7 FPGA的安全性设计

习题

参考文献

第3章 数字集成电路系统设计工程

3.1 数字集成电路设计的基本流程

3.2 需求分析和设计规格书

3.3 算法和架构设计

3.4 模块设计、RTL设计和可测性设计

3.5 综合

3.6 时序验证

3.7 原型验证

3.8 后端设计

3.9 CMOS工艺选择

3.10 封装

3.11 生产测试

3.12 集成电路产业的变革及对设计方法的影响

习题

参考文献

第4章 Verilog HDL基础

4.1 Verilog HDL的基本结构及描述方式

4.2 Verilog中的常量、变量和数据类型

4.3 赋值语句

4.4 电路功能描述方式

4.5 门电路的传输延迟

4.6 数字逻辑验证和仿真

4.7 测试平台testbench及仿真设计

习题

参考文献

第5章 数字逻辑电路的Verilog RTL建模和设计

5.1 数字系统的数据通路和控制器

5.2 Verilog的寄存器传输级(RTL)设计流程

5.3 基本组合电路设计

5.4 基本时序电路设计

5.5 有限状态机设计

习题

参考文献

第6章 数字信号处理器的算法、架构及实现

6.1 数字信号处理的算法分析与实现

6.2 信号处理器的基本运算模型及实现

6.3 数字滤波器的工作原理及实现结构

6.4 FIR数字滤波器的Verilog描述及实现

6.5 数字信号处理器的有限字长效应

习题

参考文献

第7章 可测性设计

7.1 测试和可测性设计的基本概念

7.2 故障建模及ATPG原理

7.3 可测性设计

7.4 扫描测试

7.5 内建自测试

7.6 边界扫描法

习题

参考文献

第8章 物理设计

8.1 数字集成电路的后端设计

8.2 半导体制造工艺简介

8.3 版图设计规则

8.4 版图设计

8.5 版图后验证

8.6 数据交换及检查

8.7 封装

习题

参考文献

第9章 仿真验证和时序分析

9.1 仿真类型

9.2 综合后的时序仿真与验证

9.3 时序规范和用于时序验证的Verilog系统任务

9.4 延迟反标注

9.5 ASIC中时序违约的消除

习题

参考文献

第10章 低功耗设计

10.1 低功耗设计的意义

10.2 低功耗设计技术的发展趋势

10.3 在各设计抽象层次降低功耗

10.4 系统级低功耗技术

10.5 寄存器传输级的低功耗设计

10.6 未来超低功耗设计的展望

习题

参考文献

累计评论(0条) 0个书友正在讨论这本书 发表评论

发表评论

发表评论,分享你的想法吧!

买过这本书的人还买过

读了这本书的人还在读

回顶部