万本电子书0元读

万本电子书0元读

满3件5折 实例解读模拟电子技术完全学习与应用
实例解读模拟电子技术完全学习与应用
杨欣,胡文锦,张延强
¥34.72
  本书通过丰富多彩的应用实例,由浅深地剖析模拟电子电路各方面的知识。例如,通过电子地动仪的介绍带领读者电子学的殿堂,通过USB充电器和电池保护器介绍有关直流电源的知识,通过电子听诊器介绍电容的基本功能,通过地下宝藏探测仪和手机来电闪光坠介绍电感器的知识,通过耳机放大器生动地介绍三极管的知识,通过温度监测仪与昆虫搜索器让读者理解三极管的偏置,通过吉他哑音器和手持式扩音器说明小信号放大与功率放大,通过倒车雷达引场效应管的知识,通过CT诊断探索集成电路的使用,通过运放双电源和光电话介绍运放的使用与设计,通过人体运动检测仪、触摸屏等实例展示了多种传感器的功能和应用,通过电子冷酒器等展示丰富的电子元器件。通过本书的学习,读者不仅能更好地理解枯燥的模拟电子技术知识,还能达到学以致用的目的。本书的附赠光盘中含有作者精心制作的440多个教学录像,详细地讲解、演示了全书的重要知识和实验操作。电路飞翔网(www.circuitfly.com)为本书的学习提供支持,并提供了C1201面包板实验套件的详细资料。
满3件5折 集成电路测试指南
集成电路测试指南
加速科技;组编,邬刚,王瑞金,包军林
¥59.40
更少的股票能带来更高的回报吗? 本书给你答案 选择少数几种可以在长期拉锯战中产生高于平均收益的股票,将你的大部分资本集中在这些股票上,不管股市短期跌升,坚持持股,稳中取胜。 那么—— 如何找出这些高于平均收益的股票? 究竟多少算作“少数几种”? “集中”是什么意思? 到底应该持股多久? 为什么要这样做? 这些问题的答案全部在本书中。
满3件5折 例说FPGA:可直接用于工程项目的*手经验
例说FPGA:可直接用于工程项目的*手经验
吴厚航
¥44.85
本书分为两部分,前一部分主要分享作者对于FPGA发的系统总结。第二部分用18个实例,涵盖了FPGA发方方面面的内容。这本书可以让大家熟悉这些基于FPGA的工程项目的实现细节。更重要的是,作者也为这些项目量身定制了一整套“摸得着且看得见”的实实在在的FPGA硬件平台。
满3件5折 调动员工积极性的七个关键——稻盛和夫经营问答
调动员工积极性的七个关键——稻盛和夫经营问答
(日)稻盛和夫
¥38.35
只有员工具备主人翁意识,把公司当成自己的公司拼命工作,企业才能发展壮大。这就是企业经营的原。 ?·把员工作为经营伙伴 ?·让员工爱戴你、迷恋你 ?·阐述工作的意义 ?·揭示高目标 ?·明确企业的使命 ?·不断讲述哲学 ?·提升自己的心性
满3件5折 等离子体刻蚀工艺及设备
等离子体刻蚀工艺及设备
赵晋荣
¥68.60
本书以集成电路领域中的等离子体刻蚀为切,介绍了等离子体基础知识、基于等离子体的刻蚀技术、等离子体刻蚀设备及其在集成电路中的应用。全书共8章,内容包括集成电路简介、等离子体基本原理、集成电路制造中的等离子体刻蚀工艺、集成电路封装中的等离子体刻蚀工艺、等离子体刻蚀机、等离子体测试和表征、等离子体仿真、颗粒控制和量产。本书对从事等离子体刻蚀基础研究和集成电路工厂产品刻蚀工艺调试的人员均有一定的参考价值。
满3件5折 《数据管理能力成熟度评估模型》实施指南
《数据管理能力成熟度评估模型》实施指南
中国电子信息行业联合会
¥126.00
数据是组织的重要资产,做好数据管理是盘活数据资源,释放数据价值的有效手段。本书以数据管理为主题,分为背景篇、标准篇、贯标篇、评估篇和政策篇五个篇章,首先梳理了数据管理的概念、背景和发展历程,为读者建立对数据管理的总体认识;其次详细解读国家标准——数据管理能力成熟度评估模型(以下简称DCMM),帮助读者深入理解DCMM标准及其内涵,掌握开展数据管理活动所需的理论知识,指导读者应用DCMM标准构建数据管理体系,并开展数据管理贯标评估活动。
满3件5折 数字航图——数字化转型百问(第二辑)
数字航图——数字化转型百问(第二辑)
点亮智库·中信联 数字化转型百问联合工作组
¥110.60
当今世界正处于从工业经济向数字经济加速转型的大变革时代,*推进数字化转型已经成为新时期企业生存和发展的必答题。本书创新性地以问答的形式,围绕数字化转型"为什么”"是什么”"干什么”"怎么干”等方面,以100个转型的共性问题为牵引,通过共创的方式形成"问题+关键知识点+典型案例+解决方案”为一体的知识体系,服务于企业、服务机构、科研院所、行业组织、政府部门等,以形成推进数字化转型的广泛共识,促进形成转型工作合力。本书由点及面,深入浅出,既可作为广大读者*认知数字化转型的知识读本,也可作为社会各界系统推进数字化转型的常备工具书。
满3件5折 雷达成像技术
雷达成像技术
邢孟道;孙光才;等
¥112.00
雷达技术的发展使其具有高的二维分辨率,能对场景和目标成像,因而成像已成为雷达的一种新的功能,极大地提高了获取目标信息的能力。它在各类雷达的许多方面得到越来越广泛的应用。 本书共分9章,主要内容包括雷达高分辨的原理和实现的处理方法,一维距离像,合成孔径雷达,逆合成孔径雷达,干涉技术在合成孔径雷达和逆合成孔径中的应用等。本书在内容的安排上更着重于理论联系实际,在将基本原理和算法介绍清楚的基础上,主要讨论实际实现中的各类工程技术问题,力求帮助雷达工程技术人员尽快地掌握这一新技术,并能用以解决实际工程问题。
满3件5折 自然语言处理导论
自然语言处理导论
沈颖;丁宁
¥51.00
《自然语言处理导论》主要介绍自然语言处理理论与技术,旨在让更多人了解和学习自然语言处理技术,让人工智能更好地为我们服务。 《自然语言处理导论》共16章,包括自然语言理解基础和具体任务探索两部分,主要讲述了自然语言处理文本表示、分析、挖掘、推理等方面的相关概念、方法、技术和近期研究展;详细介绍了文本分类、情感计算、知识抽取等基础方法;全面讲述了自动文摘、问答系统、机器翻译、社会计算、内容生成和跨模态计算等具体任务;最后讨论了深度学习前沿问题。 《自然语言处理导论》致力于帮助高等院校计算机相关专业学生牢固掌握自然语言处理的基本理论与技术,掌握如何分析文本信息、解决问题、完成相关研究的方法,以及了解自然语言处理的典型应用场景。
满3件5折 后量子密码芯片设计
后量子密码芯片设计
刘冬生;邹雪城;等
¥75.60
随着信息时代的发展,量子计算机逐步展现出对传统公钥密码系统的破坏性,使得依赖传统公钥密码系统的网络安全与数据信息无法得到可靠保障,迫切要求对网络及信息安全系统进行革新。后量子密码与其芯片技术是未来应对量子计算机攻击威胁的关键力量。本书首先介绍了后量子密码的研究背景、算法理论以及当前的研究现状,其次由后量子密码芯片面临的技术挑战引出了对核心算子*硬件实现、侧信道攻击防御机制设计等关键技术的讨论,*后详细介绍了不同后量子密码芯片的设计思路与实现结果。本书的研究成果与国际后量子密码前沿技术同步,有利于我国下一代密码技术的发展,尤其是可以促进自主后量子密码的理论与应用研究,推动我国自主研制符合国际标准且具有国际竞争力的后量子密码芯片。
满3件5折 维修电工上岗技能速成一本通
维修电工上岗技能速成一本通
孙峰;覃守生;董玉芳
¥51.00
《维修电工上岗技能速成一本通》包含维修电工初、中级工和部分高级工的知识和技能。本书内容密切联系工农业生产和生活实际,实用性和可操作性较强。本书主要内容包括安全用电的方法和技巧、常用电工仪表的使用方法和技巧、导线的基本操作技能、异步电动机和变压器的维护及常见故障维修、直流电动机和部分特种电动机的使用和维护、常用低压电器的原理和选用方法、三相异步电动机控制线路的制作与检修、三相异步电动机在机电设备中的应用、PLC应用门。另外,还提供了3个附录,包括导线截面积与载流量的关系估算、电气设备检修的基本方法、异步电动机变频调速简介。 《维修电工上岗技能速成一本通》适合维修电工的初学者自学,也适合作为职业院校电工电子类专业的参考教材。
满3件5折 零基础学FPGA设计——理解硬件编程思想
零基础学FPGA设计——理解硬件编程思想
杜勇
¥61.60
本书是针对FPGA初学者编著的门级图书,以高云公司的FPGA和Verilog HDL为发平台,详细阐述FPGA设计所需的基础知识、基本语法、设计流程、设计技巧,全面、细致、深刻地剖析了Verilog HDL与C语言等传统顺序语言的本质区别,使读者通过简单的实例逐步理解FPGA的硬件设计思想,实现快速掌握FPGA设计方法的目的。本书思路清晰、语言流畅、分析透彻,在简明阐述设计方法的基础上,重辨析读者易于与常规顺序语言混淆的概念,力求使读者在较短的时间内理解硬件编程思想,掌握FPGA设计方法。
满3件5折 中国高度:大国重器背后的创新科技
中国高度:大国重器背后的创新科技
刘济美;林大楷;马静华
¥74.90
本书以中国自主研发的多型高科技产品为线索,回顾总结近年来在人工智能、人造太阳、超级计算机、纳米能源、北斗导航系统、航空新技术等领域的突出成就,展现大国重器背后的创新科技;集结35位科技主讲人的科研故事,满怀一代科技工作者为祖国不竭探索的使命与情怀;从“航迹”高度、技术高度、材料高度、“控制”高度、动力高度、安全高度等六大篇章深情讲述,展望未来前沿领域融合创新发展的新边界与新高度。
满3件5折 零基础学智能家电维修
零基础学智能家电维修
韩雪涛
¥64.00
《零基础学智能家电维修》以就业为导向,采用“图解”的方式,全面系统地讲解了智能家电维修的专业知识和实操技能。本书从门知识讲起,夯实基本理论;之后给出了智能家电检测维修仪表的介绍和使用说明,以及电子电路的识图基础;然后针对新型智能家电的特,对其基本功能单元电路行了详细的讲解,并结合实际应用对智能家电的组网行了介绍;后结合常用的维修价值高的家电,全面剖析了故障检测方法和排除技巧。本书各模块之间的知识技能循序渐,图解演示、案例训练相互补充,基本覆盖了智能家电维修的就业需求,可帮助读者高效地完成智能家电维修知识的学习和技能的提升。本书可作为专业技能认证的培训教材,也可作为各职业技术院校的实训教材,适合从事和希望从事家用电器维修的技术人员、业余爱好者阅读。
满3件5折 Cadence高速电路板设计与仿真(第7版)——信号与电源完整性分析
Cadence高速电路板设计与仿真(第7版)——信号与电源完整性分析
徐宏伟;周润景;等
¥75.60
随着现代科学技术的飞速发展,器件的集成度大规模提高,各类数字器件的信号沿也越来越陡,已经达到纳秒(ns)级。如此高速的信号切换对系统设计者而言,必须考虑在低频电路设计中所无须考虑的信号完整性(Signal Integrity)问题,如延时、串扰、反射及传输线之间的耦合等。本书以Cadence Allegro SPB 17.4为基础,以具体的高速PCB为范例,详细讲解了高速PCB设计知识、仿真前的准备工作、约束驱动布局、约束驱动布线、差分对设计、模型与拓扑、板级仿真、AMI生成器、仿真DDR4、集成直流电源解决方案、分析模型管理器和协同仿真、电源完整性优化设计、其他增强及AMM和PDC结合等内容。
满3件5折 视频监控系统原理及维护
视频监控系统原理及维护
罗世伟,左涛,邹开耀
¥9.25
本教材内容包括:视频监控系统概论及架构,前端设备,中心控制端设备,传输系统设备,多媒体视频监控系统,网络视频监控系统,以及硬盘录像机。 本教材既可作为高、中等职业技术学院(校)及从事此行业的销售、设计、安装、维护人员的教学与岗位培训教材,也可作为广大安防系统使用、操作、维护及计算机系统集成人员在职进修与资料查询的工具书。本书配有电子教学参考资料包,内有珍贵资料(详见前言)。
满3件5折 自适应控制理论与应用
自适应控制理论与应用
徐湘元
¥15.00
本书阐述了自适应控制的基本理论和控制算法,介绍了设计和分析自适应控制系统的方法和技巧,列举了自适应控制的应用实例。选材典型、内容成熟,叙述清晰、深入浅出,既有一定的理论深度,又具实用价值;既突出了传统的基本内容,又反映了新近的科技成果。具体内容包括:自校正控制、模型参考自适应控制、非线性自适应控制、神经网络自适应控制和模糊自适应控制。 本书可作为控制理论与控制工程、检测与自动化装置、计算机控制与应用、机械电子,以及装备与控制工程等专业的研究生教材,也可供相关专业的工程技术人员参考。
满3件5折 电磁兼容技术——屏蔽·滤波·接地·浪涌·工程应用
电磁兼容技术——屏蔽·滤波·接地·浪涌·工程应用
周志敏,纪爱华
¥25.00
本书结合电磁兼容技术的发展和应用,以电磁兼容设计和工程应用为核心内容,系统地讲述了电磁兼容与电磁干扰、屏蔽技术、滤波技术、接地技术、浪涌抑制技术及PLC控制系统的抗干扰设计和PCB、开关电源、供电电源、变频调速系统等的电磁兼容设计。在写作上,采用理论与工程应用相结合的方式,深入浅出地阐述了电磁兼容设计中经常涉及的理论知识和设计方法。全书文字通俗,重点突出,内容新颖实用。 本书可供电信、信息、航天、军事及家电等领域从事电磁兼容技术设计和应用的工程技术人员及高等院校师生阅读参考。
满3件5折 射频电路设计技术
射频电路设计技术
王磊,杨红
¥17.00
本书系统地介绍射频通信电路设计的基本原理和方法,共分11章,前3章介绍射频设计前沿动态、基本原理及射频电路中基本的元器件;后8章详细介绍了滤波器、匹配网络、放大器、混频器、振荡器和锁相环等通信工程必不可少的组成部分的原理分析及设计过程,对于设计中常常遇到问题的章节还配有例子。每章开始有一小段对本章内容的简单介绍,让读者可以在较短时间融入该章节的学习境界中;每一章节的结束部分为本章小结及参考文献,这样可以让读者在学习之余巩固学习效果、拓宽知识面。 本书内容全面、逻辑与结构合理,讲解由浅入深、示例丰富、注释详尽,是一本理论联系实际的射频电路设计书,也是一本很好的射频电路应用指导书。既可作为通信类和电子类(通信工程、电子信息工程、无线电技术、微波/毫米波通信、计算机通信等)专业本科生的射频原理与设计的教书或参考用书,也可作为从事射频电路的工程技术人员的参考用书。
满3件5折 CMOS集成锁相环电路设计
CMOS集成锁相环电路设计
张刚
¥10.79
《CMOS无线通信集成电路:CMOS集成锁相环电路设计》以CMOS锁相环及其在射频频率合成器和时钟恢复中的应用为主题,详细讨论了相关基础原理、系统考量和晶体管级实用电路设计。全书共14章。第1~4章介绍了频率合成器应用和性能指标,锁相环的基本结构原理,子模块电路设计和噪声;第5~7章讨论了差分积分调制分数线锁相环设计;第8~9章讲述全数字锁相环设计和相位调制。第10~11章介绍振荡器设计、相位噪声和抖动;第12章讲述了延迟锁定环;第13章讨论了实用锁相环设计;第14章讲述了时钟和数据恢复电路设计。《CMOS无线通信集成电路:CMOS集成锁相环电路设计》系统介绍了CMOS集成锁相环设计的各个方面,可以作为高等院校工科微纳电子、集成电路设计、通信与电子系统等专业高年级本科和研究生教材,同时可作为模拟混合电路设计、射频通信电路系统设计人员的参考书。
满3件5折 电气控制柜设计制作.电路篇
电气控制柜设计制作.电路篇
任清晨
¥15.88
电气控制柜设计制作维修技能丛书”一共3册,全面介绍了电气控制柜电路设计、制作工艺及维护维修的全过程。 本书是丛书的分册,重点针对电气控制柜的电路设计,讲解了电气控制技术的发展,电气控制柜使用条件及主要性能指标,影响电气控制柜制作的因素,电气控制柜设计制作的原则、电路设计规范、电路图的设计方法及注意事项,元器件的选择原则和使用方法等。
7 8 9 10 11 12 13